minor #21491 Enable dump() in autoload-dev (nicolas-grekas)

This PR was merged into the 2.7 branch.

Discussion
----------

Enable dump() in autoload-dev

| Q             | A
| ------------- | ---
| Branch?       | 2.7
| Bug fix?      | no
| New feature?  | no
| BC breaks?    | no
| Deprecations? | no
| Tests pass?   | yes
| Fixed tickets | -
| License       | MIT
| Doc PR        | -

I miss `dump()` badly when working on Symfony itself.

Commits
-------

89e0088 Enable dump() in autoload-dev
This commit is contained in:
Nicolas Grekas 2017-02-01 15:45:38 +01:00
commit 4b8ac468d4
1 changed files with 3 additions and 0 deletions

View File

@ -101,6 +101,9 @@
"**/Tests/"
]
},
"autoload-dev": {
"files": [ "src/Symfony/Component/VarDumper/Resources/functions/dump.php" ]
},
"minimum-stability": "dev",
"extra": {
"branch-alias": {