small fixes

This commit is contained in:
Vítor Santos Costa 2014-09-09 23:53:41 -05:00
parent 3fd07064da
commit b26b5b1b7d
3 changed files with 13 additions and 7 deletions

View File

@ -599,12 +599,16 @@ clause_to_indicator(T, M:Name/Arity) :-
strip_module(T, M, T1),
pred_arity( T1, Name, Arity ).
pred_arity(V,M,M,V,call,1) :- var(V), !.
pred_arity((H:-_),Name,Arity) :- !,
functor(H,Name,Arity).
pred_arity(V,call,1) :- var(V), !.
pred_arity((H:-_),Name,Arity) :-
nonvar(H),
!,
functor(H,Name,Arity).
pred_arity((H-->_),Name,Arity) :- !,
functor(HL,Name,1),
Arity is A1+2.
nonvar(H),
!,
functor(HL,Name,1),
Arity is A1+2.
pred_arity(H,Name,Arity) :-
functor(H,Name,Arity).
functor(H,Name,Arity).

View File

@ -30,7 +30,6 @@ cd :-
cd('~').
cd(F) :-
format( atom( M ), 'before absolute_file_name ~w', [F]), log_event( M ),
absolute_file_name(F, Dir, [file_type(directory),file_errors(fail),access(execute),expand(true)]),
working_directory(_, Dir).

View File

@ -1017,4 +1017,7 @@ threads :-
'$mk_tstatus_key'(Id0, Key) :-
atomic_concat('$thread_exit_status__',Id0,Key).
thread_statistics(Id, Key, Val) :-
format("not implemented yet~n",[]).